Publication
IEEE Micro
Review

Guest Editors' Introduction: Power and Complexity Aware Design

Download paper

Abstract

The industry-wide focus on processor power consumption as a major design constraint is described. This forced companies to pay further attention to the underlying complexity issue. The type of design tradeoff attempts to balance power reduction against verification cost is found to be inadequately covered in current PCAD research.

Date

Publication

IEEE Micro

Authors

Resources

Share